X-Git-Url: https://bilbo.iut-bm.univ-fcomte.fr/and/gitweb/ThesisAhmed.git/blobdiff_plain/bbcf0d29377de2a0fcd854331d65925b41aee6ba..HEAD:/my_reference.bib?ds=sidebyside diff --git a/my_reference.bib b/my_reference.bib index 1acfde3..cc7d289 100644 --- a/my_reference.bib +++ b/my_reference.bib @@ -1,420 +1,4 @@ - - - - -@inproceedings{4, - author = {Jejurikar, Ravindra and Pereira, Cristiano and Gupta, Rajesh}, - title = {Leakage Aware Dynamic Voltage Scaling for Real-time Embedded Systems}, - booktitle = {Proceedings of the 41 st Annual Design Automation Conference}, - series = {DAC '04}, - year = {2004}, - isbn = {1-58113-828-8}, - location = {San Diego, CA, USA}, - pages = {275--280}, - numpages = {6}, - doi = {10.1145/996566.996650}, - acmid = {996650}, - publisher = {ACM}, - address = {New York, NY, USA}, - keywords = {EDF scheduling, critical speed, leakage power, low power scheduling, procrastication, real-time systems} -} - - - -@MISC{5, - author = {Vishal Gupta and Hyesoon Kim and Karsten Schwan and Memory B}, - title = {A Power-Performance Analysis of Memory-intensive Parallel Applications on a Manycore Platform}, -year = {2013} -} - -@article{6, - author = {Nikzad Babaii Rizvandi and - Albert Y. Zomaya}, - title = {A Primarily Survey on Energy Efficiency in Cloud and Distributed - Computing Systems}, - journal = {CoRR}, - volume = {abs/1210.4690}, - year = {2012} -} - -@techreport{7, - author = "Jee Whan Choi and Richard Vuduc", - title = "A roofline model of energy", - institution = "Georgia Institute of Technology", - TTtype = "", - TTnumber = "", - TTaddress = "", - year = {2012} - -} - - - - -@INPROCEEDINGS{10, -author={Kessler, C.W. and Melot, N. and Eitschberger, P. and Keller, J.}, -booktitle={Power and Timing Modeling, Optimization and Simulation (PATMOS), 2013 23rd International Workshop on}, -title={Crown scheduling: Energy-efficient resource allocation, mapping and discrete frequency scaling for collections of malleable streaming tasks}, -year={2013}, -month={Sept}, -pages={215-222}, -keywords={cores;microprocessor chips;optimisation;power consumption;resource allocation;scaling circuits;scheduling;ILP;crown scheduling;data flows;discrete voltage-frequency scaling;dynamic discrete frequency scaling;dynamic rescaling;energy-efficient resource allocation;energy-optimal code;integer linear programming;malleable streaming tasks;many-core processor;mapping;optimization;pipelined task graph;power consumption;processor cores;streaming task collections;Dynamic scheduling;Optimization;Processor scheduling;Radio spectrum management;Resource management;Schedules}, -doi={10.1109/PATMOS.2013.6662176} -} - - - -@article{12, - author = {Lively, Charles and Xingfu Wu and Taylor, Valerie and Moore, Shirley and Chang, Hung-Ching and Cameron, Kirk}, - title = {Energy and Performance Characteristics of Different Parallel Implementations of Scientific Applications on Multicore Systems}, - journal = {Int. J. High Perform. Comput. Appl.}, - issue_date = {August 2011}, - volume = {25}, - number = {3}, - month = aug, - year = {2011}, - issn = {1094-3420}, - pages = {342--350}, - numpages = {9}, - doi = {10.1177/1094342011414749}, - acmid = {2020813}, - publisher = {Sage Publications, Inc.}, - address = {Thousand Oaks, CA, USA}, - keywords = {MPI, energy consumption, frequency scaling, hybrid MPI/OpenMP, multicore system, performance characteristics, scientific applications} -} - -@ARTICLE{13, - author = {Lizhe Wang a,b, Samee U. Khan c , Dan Chen a , Joanna Kołodziej d , Rajiv Ranjan e , Cheng-zhong Xu f ,Albert Zomaya}, - title = {Energy-aware parallel task scheduling in a cluster}, - journal = {Future Generation Computer Systems}, - volume = {29}, - number = {7}, - pages = {1661–1670}, - year = {2013} - - } - -@INPROCEEDINGS{14, -author={Huang, S. and Feng, W.}, -booktitle={Cluster Computing and the Grid, 2009. CCGRID '09. 9th IEEE/ACM International Symposium on}, -title={Energy-Efficient Cluster Computing via Accurate Workload Characterization}, -year={2009}, -month={May}, -pages={68-75}, -keywords={parallel processing;power aware computing;workstation clusters;cluster computer;eco-friendly daemon;energy consumption reduction;energy-efficient cluster computing;power consumption reduction;processor stall cycles;workload characterization;Application software;Clustering algorithms;Energy consumption;Energy efficiency;Frequency;Grid computing;Hardware;High performance computing;Runtime;Voltage}, -doi={10.1109/CCGRID.2009.88} -} - - - -@inproceedings{16, - author = {Zong, Ziliang and Qin, Xiao and Ruan, Xiaojun and Bellam, Kiranmai and Nijim, Mais and Alghamdi, Mohamed}, - title = {Energy-Efficient Scheduling for Parallel Applications Running on Heterogeneous Clusters}, - booktitle = {Proceedings of the 2007 International Conference on Parallel Processing}, - series = {ICPP '07}, - year = {2007}, - isbn = {0-7695-2933-X}, - pages = {19--}, - doi = {10.1109/ICPP.2007.39}, - acmid = {1306033}, - publisher = {IEEE Computer Society}, - address = {Washington, DC, USA} -} - - - -@INPROCEEDINGS{18, -author={Dong Li and De Supinski, B.R. and Schulz, M. and Cameron, K. and Nikolopoulos, D.S.}, -booktitle={Parallel Distributed Processing (IPDPS), 2010 IEEE International Symposium on}, -title={Hybrid MPI/OpenMP power-aware computing}, -year={2010}, -month={April}, -pages={1-12}, -keywords={message passing;parallel algorithms;power aware computing;HPC environment;dynamic concurrency throttling;dynamic voltage-and-frequency scaling;high performance computing;hybrid MPI-OpenMP computing;hybrid programming models;large-scale distributed systems;message passing interface;parallel programs;power-aware computing;power-aware performance prediction model;Concurrent computing;Discrete cosine transforms;Dynamic programming;Dynamic voltage scaling;Frequency;Heuristic algorithms;Large-scale systems;Multicore processing;Power system modeling;Predictive models;MPI;OpenMP;performance modeling;power-aware high -performance computing}, -doi={10.1109/IPDPS.2010.5470463}, -ISSN={1530-2075} -} - - - - - -@inproceedings{20, - author = {Springer, Robert and Lowenthal, David K. and Rountree, Barry and Freeh, Vincent W.}, - title = {Minimizing Execution Time in {MPI} Programs on an Energy-constrained, Power-scalable Cluster}, - booktitle = {Proceedings of the Eleventh ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming}, - series = {PPoPP '06}, - year = {2006}, - isbn = {1-59593-189-9}, - location = {New York, New York, USA}, - pages = {230--238}, - numpages = {9}, - doi = {10.1145/1122971.1123006}, - acmid = {1123006}, - publisher = {ACM}, - address = {New York, NY, USA}, - keywords = {MPI, energy, modeling, power, prediction} -} - -@inproceedings{21, - added-at = {2011-12-01T00:00:00.000+0100}, - author = {Rauber, Thomas and Rünger, Gudula}, - booktitle = {SpringSim (CNS)}, - crossref = {conf/springsim/2011-3}, - editor = {Abhari, Abdolreza}, - pages = {11-18}, - publisher = {SCS/ACM}, - title = {Modeling the energy consumption for concurrent executions of parallel tasks}, - year = {2011} -} - -@inproceedings{22, - author = {Ge, Rong and Feng, Xizhou and Cameron, Kirk W.}, - title = {Performance-constrained Distributed DVS Scheduling for Scientific Applications on Power-aware Clusters}, - booktitle = {Proceedings of the 2005 ACM/IEEE Conference on Supercomputing}, - series = {SC '05}, - year = {2005}, - isbn = {1-59593-061-2}, - pages = {34--}, - doi = {10.1109/SC.2005.57}, - acmid = {1105799}, - publisher = {IEEE Computer Society}, - address = {Washington, DC, USA} -} - - -@inproceedings{23, - author = {Feng, Xizhou and Ge, Rong and Cameron, Kirk W.}, - title = {Power and Energy Profiling of Scientific Applications on Distributed Systems}, - booktitle = {Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01}, - series = {IPDPS '05}, - year = {2005}, - isbn = {0-7695-2312-9}, - pages = {34--}, - doi = {10.1109/IPDPS.2005.346}, - acmid = {1054376}, - publisher = {IEEE Computer Society}, - address = {Washington, DC, USA} -} - -@inproceedings{24, - added-at = {2009-11-27T00:00:00.000+0100}, - author = {Chen, Yen-Jun and Hsu, Ching-Hsien and Li, Kuan-Ching and Chang, Hsi-Ya and Wang, Shuen-Tai}, - booktitle = {Infoscale}, - crossref = {conf/infoscale/2009}, - date = {2009-11-27}, - description = {dblp}, - editor = {Mueller, Peter and Cao, Jian-Nong and Wang, Cho-Li}, - ee = {http://dx.doi.org/10.1007/978-3-642-10485-5_8}, - interhash = {d191ac30e6c4bd27288ffdf9e6d0e815}, - intrahash = {4601b8a777bdf956bb48fa611b7556f5}, - isbn = {978-3-642-10484-8}, - keywords = {dblp}, - pages = {108-120}, - publisher = {Springer}, - series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, - timestamp = {2009-11-27T00:00:00.000+0100}, - title = {Power Consumption Optimization of {MPI} Programs on Multi-core Clusters.}, - volume = {18}, - year = {2009} -} - -@inproceedings{25, - author = {Laurenzano, Michael A. and Meswani, Mitesh and Carrington, Laura and Snavely, Allan and Tikir, Mustafa M. and Poole, Stephen}, - title = {Reducing Energy Usage with Memory and Computation-aware Dynamic Frequency Scaling}, - booktitle = {Proceedings of the 17th International Conference on Parallel Processing - Volume Part I}, - series = {Euro-Par'11}, - year = {2011}, - isbn = {978-3-642-23399-9}, - location = {Bordeaux, France}, - pages = {79--90}, - numpages = {12}, - acmid = {2033356}, - publisher = {Springer-Verlag}, - address = {Berlin, Heidelberg}, - keywords = {benchmarking, dynamic voltage frequency scaling, energy optimization, high performance computing, memory latency} -} - - - -@article{27, - author = {Dhiman, Gaurav and Rosing, Tajana \v{S}imunic}, - title = {System-level Power Management Using Online Learning}, - journal = {Trans. Comp.-Aided Des. Integ. Cir. Sys.}, - issue_date = {May 2009}, - volume = {28}, - number = {5}, - month = may, - year = {2009}, - issn = {0278-0070}, - pages = {676--689}, - numpages = {14}, - doi = {10.1109/TCAD.2009.2015740}, - acmid = {1656937}, - publisher = {IEEE Press}, - address = {Piscataway, NJ, USA}, - keywords = {Dynamic voltage frequency scaling, dynamic voltage frequency scaling, energy-performance trade-off, online learning, power management} -} - -@INPROCEEDINGS{28, -author={Yongpan Liu and Huazhong Yang and Dick, R.P. and Hui Wang and Li Shang}, -booktitle={Quality Electronic Design, 2007. ISQED '07. 8th International Symposium on}, -title={Thermal vs Energy Optimization for {DVFS}-Enabled Processors in Embedded Systems}, -year={2007}, -month={March}, -pages={204-209}, -keywords={circuit optimisation;embedded systems;integrated circuit design;low-power electronics;microprocessor chips;nonlinear programming;thermal management (packaging);DVFS-enabled processors;application peak temperature;cooling costs;dynamic voltage voltage;embedded systems;energy consumption;frequency scaling;nonlinear programming;power optimization;run-time thermal emergencies;system thermal profile;thermal optimization;thermal-constrained energy optimization;Cooling;Cost function;Design optimization;Dynamic voltage scaling;Embedded system;Energy consumption;Frequency;Power system planning;Runtime;Temperature}, -doi={10.1109/ISQED.2007.158} -} - - - -@INPROCEEDINGS{30, -author={Lizhe Wang and von Laszewski, G. and Dayal, J. and Fugang Wang}, -booktitle={Cluster, Cloud and Grid Computing (CCGrid), 2010 10th IEEE/ACM International Conference on}, -title={Towards Energy Aware Scheduling for Precedence Constrained Parallel Tasks in a Cluster with {DVFS}}, -year={2010}, -month={May}, -pages={368-377}, -keywords={environmental factors;parallel processing;power aware computing;scheduling;workstation clusters;dynamic voltage frequency scaling technique;energy aware scheduling heuristics;green service level agreement;high end computing;precedence constrained parallel tasks;Computational modeling;Concurrent computing;Costs;Dynamic voltage scaling;Energy consumption;Frequency;Grid computing;High performance computing;Power engineering computing;Processor scheduling;Cluster Computing;Green Computing;Task Scheduling}, -doi={10.1109/CCGRID.2010.19} -} - -@article{31, -author = {Yu-Liang Chou and Shaoshan Liu and Eui-Young Chung and Jean-Luc Gaudiot}, -title = {An Energy and Performance Efficient {DVFS} Scheme for Irregular Parallel Divide-and-Conquer Algorithms on the {Intel SCC}}, -journal ={IEEE Computer Architecture Letters}, -volume = {99}, -number = {RapidPosts}, -issn = {1556-6056}, -year = {2013}, -pages = {1}, -doi = {http://doi.ieeecomputersociety.org/10.1109/L-CA.2013.1}, -publisher = {IEEE Computer Society}, -address = {Los Alamitos, CA, USA} -} - -@article{32, -title = "Energy-aware simulation with \{DVFS\} ", -journal = "Simulation Modelling Practice and Theory ", -volume = "39", -number = "0", -pages = "76 - 91", -year = "2013", -note = "S.I.Energy efficiency in grids and clouds ", -issn = "1569-190X", -doi = "http://dx.doi.org/10.1016/j.simpat.2013.04.007", -author = {Tom Guérout and Thierry Monteil and Georges Da Costa and Rodrigo Neves Calheiros and Rajkumar Buyya and Mihai Alexandru} - -} - -@INPROCEEDINGS{33, -author={Kappiah, N. and Freeh, Vincent W. and Lowenthal, D.K.}, -booktitle={Supercomputing, 2005. Proceedings of the ACM/IEEE SC 2005 Conference}, -title={Just In Time Dynamic Voltage Scaling: Exploiting Inter-Node Slack to Save Energy in {MPI} Programs}, -year={2005}, -month={Nov}, -pages={33-33}, -keywords={Computer science;Dynamic voltage scaling;Energy consumption;Energy efficiency;Frequency;Gears;Jitter;Microprocessors;Performance loss;Permission}, -doi={10.1109/SC.2005.39} -} - - - -@article{35, -title = "Adaptive energy-efficient scheduling algorithm for parallel tasks on homogeneous clusters ", -journal = "Journal of Network and Computer Applications ", -volume = "", -number = "0", -pages = " - ", -year = "2013", -note = "", -issn = "1084-8045", -doi = "http://dx.doi.org/10.1016/j.jnca.2013.10.009", -author = {Wei Liu and Wei Du and Jing Chen and Wei Wang and GuoSun Zeng} - -} - - - - - -@inproceedings{38, - author = {Cochran, Ryan and Hankendi, Can and Coskun, Ayse K. and Reda, Sherief}, - title = {Pack \& Cap: Adaptive {DVFS} and Thread Packing Under Power Caps}, - booktitle = {Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture}, - series = {MICRO-44}, - year = {2011}, - isbn = {978-1-4503-1053-6}, - location = {Porto Alegre, Brazil}, - pages = {175--185}, - numpages = {11}, - doi = {10.1145/2155620.2155641}, - acmid = {2155641}, - publisher = {ACM}, - address = {NY, USA} -} - - - -@inproceedings{40, - author = {Azevedo, A. and Issenin, I. and Cornea, R. and Gupta, R. and Dutt, N. and Veidenbaum, A. and Nicolau, A.}, - title = {Profile-Based Dynamic Voltage Scheduling Using Program Checkpoints}, - booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe}, - series = {DATE '02}, - year = {2002}, - isbn = {0-7695-1471-5}, - pages = {168-175}, - acmid = {874373}, - publisher = {IEEE Computer Society}, - address = {Washington, DC, USA} -} - -@inproceedings{41, - author = {Miftakhutdinov, Rustam and Ebrahimi, Eiman and Patt, Yale N.}, - title = {Predicting Performance Impact of {DVFS} for Realistic Memory Systems}, - booktitle = {Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture}, - series = {MICRO-45}, - year = {2012}, - isbn = {978-0-7695-4924-8}, - location = {Vancouver, B.C., CANADA}, - pages = {155--165}, - numpages = {11}, - doi = {10.1109/MICRO.2012.23}, - acmid = {2457493}, - publisher = {IEEE Computer Society}, - address = {Washington, DC, USA} -} - -@ARTICLE{42, -author={Dhiman, G. and Rosing, T.S.}, -journal={Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on}, -title={System-Level Power Management Using Online Learning}, -year={2009}, -month={May}, -volume={28}, -number={5}, -pages={676-689}, -keywords={power aware computing;DPM policies;Intel PXA27x core;device leakage characteristics;dynamic power management;dynamic voltage-frequency scaling problems;hard disk drive;online learning;system-level power management;workload characterization;Dynamic voltage frequency scaling;energy-performance trade-off;online learning;power management}, -doi={10.1109/TCAD.2009.2015740}, -ISSN={0278-0070} -} - -@MISC{43, - title = {{TOP500 Supercomputers Sites.} [online], http://www.top500.org }, -} - -@MISC{SimGrid.org, - title = {{SimGrid: Versatile Simulation of Distributed Systems.} - [online], http://simgrid.org}, -} - - - - - - @book{ref1, author = {El-Rewini, Hesham and Abd-El-Barr, Mostafa}, title = {Advanced Computer Architecture and Parallel Processing (Wiley Series on Parallel and Distributed Computing)}, @@ -1236,4 +820,305 @@ year={2014} numpages = {8}, publisher = {IEEE Computer Society Press}, address = {Los Alamitos, CA, USA} -} \ No newline at end of file +} + + +@article{ref79, +year={2014}, +issn={0920-8542}, +journal={The Journal of Supercomputing}, +volume={70}, +number={3}, +title={Energy measurement, modeling, and prediction for processors with frequency scaling}, +publisher={Springer US}, +author={Rauber, Thomas and Rünger, Gudula and Schwind, Michael and Xu, Haibin and Melzner, Simon}, +pages={1451-1476} +} + + +@article{ref80, +year={2015}, +journal={Human-centric Computing and Information Sciences}, +eid={28}, +volume={5}, +number={1}, +title={An energy-delay product study on chip multi-processors for variable stage pipelining}, +publisher={Springer Berlin Heidelberg}, +author={Saravanan, Vijayalakshmi and Anpalagan, Alagan and Woungang, Isaac} +} + + +@INPROCEEDINGS{ref81, +author={Jian Chen and John, L.K.}, +booktitle={Workload Characterization, 2008. IISWC 2008. IEEE International Symposium on}, +title={Energy-aware application scheduling on a heterogeneous multi-core system}, +year={2008}, +pages={5-13}, +month={Sept} +} + +@incollection{ref82, +year={2006}, +isbn={978-3-540-68039-0}, +booktitle={High Performance Computing - HiPC 2006}, +volume={4297}, +editor={Robert, Yves and Parashar, Manish and Badrinath, Ramamurthy and Prasanna, ViktorK.}, +title={Exploring Energy-Performance Trade-Offs for Heterogeneous Interconnect Clustered VLIW Processors}, +publisher={Springer Berlin Heidelberg}, +author={Nagpal, Rahul and Srikant, Y.N.}, +pages={497-508} +} + +@inproceedings{ref83, + TITLE = {{Energy aware clouds scheduling using anti-load balancing algorithm : EACAB}}, + AUTHOR = {Thiam, Cheikhou and Da Costa, Georges and Pierson, Jean-Marc}, + BOOKTITLE = {{3rd International Conference on Smart Grids and Green IT Systems (SMARTGREENS 2014)}}, + ADDRESS = {Barcelona, Spain}, + PAGES = {pp. 82-89}, + YEAR = {2014}, + MONTH = {April} + } + + +@INPROCEEDINGS{ref84, +author={Da Costa, G. and Gelas, J.-P. and Georgiou, Y. and Lefevre, L. and Orgerie, A.-C. and Pierson, J. and Richard, O. and Sharma, K.}, +booktitle={Parallel Distributed Processing, 2009. IPDPS 2009. IEEE International Symposium on}, +title={The GREEN-NET framework: Energy efficiency in large scale distributed systems}, +year={2009}, +pages={1-8}, +month={May} +} + +@INPROCEEDINGS{ref85, +author={Orgerie, A.-C. and Lefevre, L. and Gelas, J.-P.}, +booktitle={Parallel and Distributed Systems, 2008. ICPADS '08. 14th IEEE International Conference on}, +title={Save Watts in Your Grid: Green Strategies for Energy-Aware Framework in Large Scale Distributed Systems}, +year={2008}, +pages={171-178}, +ISSN={1521-9097}, +month={Dec} +} + + +@inproceedings{ref86, + author = {Da Costa, Georges and de Assun\c{c}\~{a}o, Marcos Dias and Gelas, Jean-Patrick and Georgiou, Yiannis and Lef\`{e}vre, Laurent and Orgerie, Anne-C{\'e}cile and Pierson, Jean-Marc and Richard, Olivier and Sayah, Amal}, + title = {Multi-facet Approach to Reduce Energy Consumption in Clouds and Grids: The GREEN-NET Framework}, + booktitle = {Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking}, + year = {2010}, + isbn = {978-1-4503-0042-1}, + location = {Passau, Germany}, + pages = {95--104}, + numpages = {10}, + publisher = {ACM}, + address = {New York, NY, USA} +} +@INPROCEEDINGS{ref87, +author={Cocana-Fernandez, A. and Sanchez, L. and Ranilla, J.}, +booktitle={Fuzzy Systems (FUZZ-IEEE), 2015 IEEE International Conference on}, +title={A software tool to efficiently manage the energy consumption of HPC clusters}, +year={2015}, +pages={1-8}, +month={Aug} +} + + + + + +@article{ref88, + author = {Beloglazov, Anton and Buyya, Rajkumar}, + title = {Optimal Online Deterministic Algorithms and Adaptive Heuristics for Energy and Performance Efficient Dynamic Consolidation of Virtual Machines in Cloud Data Centers}, + journal = {Concurrency and Computation:Practice and Experience}, + issue_date = {September 2012}, + volume = {24}, + number = {13}, + month = sep, + year = {2012}, + issn = {1532-0626}, + pages = {1397--1420}, + numpages = {24}, + publisher = {John Wiley and Sons Ltd.}, + address = {Chichester, UK} +} + +@ARTICLE{ref89, +author={Ziliang Zong and Manzanares, A. and Xiaojun Ruan and Xiao Qin}, +journal={Computers, IEEE Transactions on}, +title={EAD and PEBD: Two Energy-Aware Duplication Scheduling Algorithms for Parallel Tasks on Homogeneous Clusters}, +year={2011}, +volume={60}, +number={3}, +pages={360-374}, +month={March} +} + + +@INPROCEEDINGS{ref90, +author={Pietri, I. and Sakellariou, R.}, +booktitle={Parallel Processing Workshops (ICCPW), 2014 43rd International Conference on}, +title={Energy-Aware Workflow Scheduling Using Frequency Scaling}, +year={2014}, +pages={104-113}, +month={Sept} +} + +@article{ref91, + author = {Amina Guermouche and + Nicolas Triquenaux and + Beno{\^{\i}}t Pradelle and + William Jalby}, + title = {Minimizing Energy Consumption of {MPI} Programs in Realistic Environment}, + journal = {Computing Research Repository }, + year = {2015} +} + +@INPROCEEDINGS{ref92, +author={Hsu, C.-H. and Wu-chun Feng}, +booktitle={Supercomputing, 2005. Proceedings of the ACM/IEEE SC 2005 Conference}, +title={A Power-Aware Run-Time System for High-Performance Computing}, +year={2005}, +pages={1-9}, +month={Nov} +} + + +@article{ref93, + author = {Yifan Zhu and + Frank Mueller}, + title = {Exploiting synchronous and asynchronous {DVS} for feedback {EDF} scheduling + on an embedded platform}, + journal = {ACM Transactions on Embedded Computing}, + volume = {7}, + number = {1}, + year = {2007} +} + + + +@article{ref94, +year={2013}, +issn={0920-8542}, +journal={The Journal of Supercomputing}, +volume={63}, +number={3}, +title={Designing energy efficient communication runtime systems: a view from PGAS models}, +publisher={Springer US}, +keywords={Communication runtime system; DVFS; Energy efficiency; InfiniBand}, +author={Vishnu, Abhinav and Song, Shuaiwen and Marquez, Andres and Barker, Kevin and Kerbyson, Darren and Cameron, Kirk and Balaji, Pavan}, +pages={691-709} +} + +@phdthesis {ref95, + author = "Hartwig Anzt", + title = "Asynchronous and Multiprecision Linear Solvers", + school = "Karlsruher Institut für Technologie", + address = " Bade-Wurtemberg,Germany", + year = "2012", + pages = {183} + +} + +@article{ref96, +year={2010}, +journal={The Journal of Convergence}, +volume={1}, +number={1}, +title={An Energy Conservation DVFS Algorithm for the Android Operating System}, +publisher={FTAR}, +author={Wen-Yew Liang, Po-Ting Lai, Che Wun Chiou}, +pages={93-100} +} + +@article{ref97, + title={Multi-splittings of matrices and parallel solution of linear systems}, + author={O'Leary, Dianne P. and White, Robert E.}, + journal={SIAM Journal on Algebraic Discrete Methods}, + volume={6}, + number={4}, + pages={630--640}, + year={1985}, + publisher={SIAM} +} + + +@book{ref98, +inhal = {no}, +domainehal = {INFO:INFO_DC, INFO:INFO_CR, INFO:INFO_MO}, +equipe = {and}, +classement = {OS}, +author = {Bahi, Jacques and Contassot-Vivier, Sylvain and Couturier, Rapha\"el}, +title = {Parallel Iterative Algorithms: from sequential to grid computing}, +publisher = {Chapman and Hall/CRC}, +series = {Numerical Analysis and Scientific Computating}, +volume = 1, +year = {2007} +} + +@INPROCEEDINGS{ref99, +author={Ramamonjisoa, C.E. and Ziane Khodja, L. and Laiymani, D. and Giersch, A. and Couturier, R.}, +booktitle={High Performance Computing and Communications, 2014 IEEE 6th Intl Symp on Cyberspace Safety and Security, 2014 IEEE 11th Intl Conf on Embedded Software and Syst (HPCC,CSS,ICESS), 2014 IEEE Intl Conf on}, +title={Simulation of Asynchronous Iterative Algorithms Using SimGrid}, +year={2014}, +month={Aug}, +pages={890-895} +} + +@INPROCEEDINGS{ref100, +author={Baldassin, A. and de Carvalho, J.P.L. and Garcia, L.A.G. and Azevedo, R.}, +booktitle={Computer Architecture and High Performance Computing (SBAC-PAD), 2012 IEEE 24th International Symposium on}, +title={Energy-Performance Tradeoffs in Software Transactional Memory}, +year={2012}, +pages={147-154}, +ISSN={1550-6533}, +month={Oct} +} + +@MISC{ref101, + title = {{TOP500 Supercomputers Sites}}, + url = {http://www.top500.org} +} + +@MISC{ref102, + title = {{U.S. Energy Information Administration, Annual Energy Outlook 2015}}, + url = {http://www.eia.gov/} +} + + +@ARTICLE{ref103, +author={Zapater, M. and Tuncer, O. and Ayala, J.L. and Moya, J.M. and Vaidyanathan, K. and Gross, K. and Coskun, A.K.}, +journal={Parallel and Distributed Systems, IEEE Transactions on}, +title={Leakage-Aware Cooling Management for Improving Server Energy Efficiency}, +year={2015}, +volume={26}, +number={10}, +pages={2764-2777}, +month={Oct} +} + +@inproceedings{ref104, + author = {Zapater, Marina and Ayala, Jose L. and Moya, Jos{\'e} M. and Vaidyanathan, Kalyan and Gross, Kenny and Coskun, Ayse K.}, + title = {Leakage and Temperature Aware Server Control for Improving Energy Efficiency in Data Centers}, + booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe}, + year = {2013}, + isbn = {978-1-4503-2153-2}, + location = {Grenoble, France}, + pages = {266--269}, + numpages = {4}, + address = {San Jose, CA, USA} +} + +@MISC{ref105, + title = {{The Green500 List of Heterogeneous Supercomputing Systems}}, + url = {http://www.green500.org} +} + +@inproceedings{ref106, + title = {GreenHPC: a novel framework to measure energy consumption on HPC applications}, + author = {Gustavo Rostirolla and Rodrigo Da Rosa Righi and Vinicius Facco Rodrigues and Pedro Velho and Edson Luiz Padoin}, + year = {2015}, + pages = {1-8}, + booktitle = {2015 Sustainable Internet and ICT for Sustainability, SustainIT 2015, Madrid, Spain, April 14-15, 2015}, + publisher = {IEEE}, + +} +