1 <!DOCTYPE clkdomain_convert_1024x8>
2 <block_impl ref_name="clkdomain_convert_1024x8.xml" ref_md5="">
4 <author mail="sdomas@univ-fcomte.fr" lastname="domas" firstname="stephane"/>
5 <date creation="2018-04-13"/>
6 <related_files list=""/>
7 <description>This IP allows to pass 8 bits values from a clock domain to another. It uses a FIFO of 1024 entries.
9 <notes>This IP allows to pass 8 bits values from a clock domain to another. It uses a FIFO of 1024 entries.</notes>
13 <package use="all" name="std_logic_1164"/>
14 <package use="all" name="numeric_std"/>
17 <architecture comp_list="clkdconvert_1024x8">
18 component clkdconvert_1024x8
21 wr_clk : in std_logic;
22 rd_clk : in std_logic;
23 din : in std_logic_vector(7 downto 0);
26 dout : out std_logic_vector(7 downto 0);
32 signal rd_en : std_logic;
33 signal full : std_logic;
34 signal empty : std_logic;
38 clkdconvert_1024x8_1 : clkdconvert_1024x8
44 wr_en => @{data_in_enb},
51 rd_en <= not empty;
53 read_fifo : process(@{clk_rd}, @{reset})
55 if @{reset} = '1' then
56 @{data_out_enb} <= '0';
57 elsif rising_edge(@{clk_rd}) then
58 @{data_out_enb} <= '0';
60 @{data_out_enb} <= '1';
63 end process read_fifo;
65 end architecture clkdomain_convert_1024x8_1;
70 <input name="data_in_enb" pattern="1"/>
72 <production counter="1">
73 <output name="data_out_enb" pattern="01"/>