1 <!DOCTYPE clkdomain_convert_1024x8>
2 <block_impl ref_name="clkdomain_convert_1024x8.xml" ref_md5="">
4 <author mail="sdomas@univ-fcomte.fr" lastname="domas" firstname="stephane"/>
5 <log creation="2018-05-02">
12 <package use="all" name="std_logic_1164"/>
13 <package use="all" name="numeric_std"/>
16 <architecture comp_list="clkdconvert_1024x8">
17 component clkdconvert_1024x8
20 wr_clk : in std_logic;
21 rd_clk : in std_logic;
22 din : in std_logic_vector(7 downto 0);
25 dout : out std_logic_vector(7 downto 0);
31 signal rd_en : std_logic;
32 signal full : std_logic;
33 signal empty : std_logic;
37 clkdconvert_1024x8_1 : clkdconvert_1024x8
43 wr_en => @{data_in_enb},
50 rd_en <= not empty;
52 read_fifo : process(@{clk_out}, @{reset})
54 if @{reset} = '1' then
55 @{data_out_enb} <= '0';
56 elsif rising_edge(@{clk_out}) then
57 @{data_out_enb} <= '0';
59 @{data_out_enb} <= '1';
62 end process read_fifo;
64 end architecture clkdomain_convert_1024x8_1;
69 <input name="data_in_enb" pattern="1"/>
71 <production counter="1">
72 <output name="data_out_enb" pattern="01"/>