1 -------------------------------------------------------------------------------
3 -- File : logical_AND_3.vhd
6 -- Author(s) : stephane Domas (sdomas@univ-fcomte.fr)
8 -- Creation Date : 2017/10/16
10 -- Description : This IP does a logical AND on three inputs.
15 -------------------------------------------------------------------------------
18 use IEEE.std_logic_1164.all;
19 use IEEE.numeric_std.all;
21 entity logical_AND_3 is
25 data1_in : in std_logic;
26 data1_in_enb : in std_logic;
27 data2_in : in std_logic;
28 data2_in_enb : in std_logic;
29 data3_in : in std_logic;
30 data3_in_enb : in std_logic;
32 data_out : out std_logic;
33 data_out_enb : out std_logic -- the control signal, common to all output
38 architecture rtl of logical_AND_3 is
42 and_process : process (clk, reset)
49 elsif rising_edge(clk) then
54 if data1_in_enb = '1' and data2_in_enb = '1' and data3_in_enb = '1' then
56 data_out <= data1_in and data2_in and data3_in;
62 end process and_process;